АвтоАвтоматизацияАрхитектураАстрономияАудитБиологияБухгалтерияВоенное делоГенетикаГеографияГеологияГосударствоДомДругоеЖурналистика и СМИИзобретательствоИностранные языкиИнформатикаИскусствоИсторияКомпьютерыКулинарияКультураЛексикологияЛитератураЛогикаМаркетингМатематикаМашиностроениеМедицинаМенеджментМеталлы и СваркаМеханикаМузыкаНаселениеОбразованиеОхрана безопасности жизниОхрана ТрудаПедагогикаПолитикаПравоПриборостроениеПрограммированиеПроизводствоПромышленностьПсихологияРадиоРегилияСвязьСоциологияСпортСтандартизацияСтроительствоТехнологииТорговляТуризмФизикаФизиологияФилософияФинансыХимияХозяйствоЦеннообразованиеЧерчениеЭкологияЭконометрикаЭкономикаЭлектроникаЮриспунденкция

Entering Timing Constraints (ввод временных ограничений)

Читайте также:
  1. Assigning Pin Location Constraints (назначение ограничений на размещение выводов).
  2. Bandwidth Constraints
  3. F) Подготовить примечание к балансу, показывающее движение по счёту отложенного налога для каждого вида временных разниц.
  4. Graph-based physical synthesis provides tight correlation to final timing
  5. II. Общие принципы построения и функционирования современных бизнес-структур
  6. Анализ применения современных технологий в отеле «Onix Торжок»
  7. Аналитические методы сглаживания временных рядов
  8. Аналитическое выравнивание временных рядов
  9. Важное замечание: это работает и на более коротких временных периодах
  10. Ввод повременных данных
  11. Ввод повременных данных задач

To constrain the design do the following (предлагается следующая процедура ввода ограничений):

1. Select Synthesis/Implementation from the drop-down list in the Sources window (выберите опцию Synthesis/Implementation (синтез/реализация) из ниспадающего меню в окне Sources (документы);

2. Select the counter HDL source file (выберите документ counterHDL (счетчик HDL);

3. Click the “ + ” sign next to the User Constraints processes group, and double-click the and double-click the Create Timing Constraints process (щелкните по знаку “ + ” рядом с опцией User Constraints processes group (ограничения пользователя) и дважды щелкните по опции Create Timing Constraints (создание временных ограничений).

ISE runs the Synthesis and Translate steps and automatically creates a User

Constraints File (UCF). You will be prompted with the following message (Моделирующая программа ISE запускает этапы Synthesis (синтез) и Translate (перевод) и автоматически создает User Constraints File (UCF) – файл ограничений пользователя:

Figure 11:Prompt to Add UCF File to Project (подсказка о добавлении файла UCF к Вашему проекту).

4. Click Yes to add the UCF file to your project (щелкните по пиктограмме Да и добавьте файл ограничений пользователя к Вашему проекту);

The counter.ucf file is added to your project and is visible in the Sources window (файл counter.ucf добавляется к Вашему проекту и появляется в окне Sources (документы).

The Xilinx Constraints Editor opens automatically (редактор ограничений Xilinx открывается автоматически).

Note: You can also create a UCF file for your project by selecting ProjectCreate New

Source (примечание: Вы можете также создать файл UCF (ограничений пользователя) в Вашем проекте путем выбора опции Project → Create New Source (проект – создание нового документа);

In the next step, enter values in the fields associated with CLOCK in the Constraints Editor Global tab (на следующем этапе введите в поля, связанные с тактовым генератором (CLOCK) в таблице Constraints Editor Global tab (глобальные ограничения редактирования).

5. Select CLOCK in the Clock Net Name field, then select the Period toolbar button or double-click the empty Period field to display the Clock Period dialog box (выберите опцию CLOCK (тактовый генератор) в поле Clock Net Name (имя тактового генератора), затем выберитепиктограмму Period (период) на инструментальной линейке или дважды щелкните по пустому полю пиктограммы Period (период) для отображения диалогового окна Clock Period (период тактового генератора);

6. Enter 40 ns in the Time field (введите 40 нс в поле опции Time (время);

Figure 12:Clock Period (период тактового генератора).

7. Click OK (щелкните по пиктограмме ОК);

8. Select the Pad to Setup toolbar button or double-click the empty Pad to Setup field to

display the Pad to Setup dialog box (выберите окно Pad to Setup (панель установки) или дважды щелкните по пустому полю Pad to Setup (панель установки) для отображения диалогового окна Pad to Setup (панель установки);

9. Enter 10 ns in the OFFSET field to set the input offset constraint (введите 10 нс в поле OFFSET (смещение) для установки ограничений по смещению);

Figure 13:Pad to Setup (панель установки).

10. Click OK (щелкните ОК);

11. Select the Clock to Pad toolbar button or double-click the empty Clock to Pad field to display the Clock to Pad dialog box (выберите панель установки Clock to Pad или дважды щелкните по пустому полю Pad to Setup (панель установки) для отображения диалогового окна Clock to Pad (панель тактового генератора);

12. Enter 10 ns in the OFFSET field to set the output delay constraint (введите 10 нс в поле OFFSET (смещение) для установки ограничений по смещению);

Figure 14:Clock to Pad (панель тактового генератора).

13. Click OK (щелкнуть по пиктограмме ОК);

The constraints are displayed in the Constraints (read-write) tab, as shown below (ограничения отображаются в таблице Constraints (ограничения):

Figure 15:Timing Constraints (временные ограничения).

14. Save the timing constraints. If you are prompted to rerun the TRANSLATE or XST step, click OK to continue (сохраните временные ограничения. Если Вы получите подсказку по повторному запуску этапов TRANSLATE (перевод) или XST, щелкните по пиктограмме ОК для продолжения);

15. Close the Constraints Editor (закройте редактор ограничений).


1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | 14 | 15 | 16 | 17 | 18 | 19 | 20 |

Поиск по сайту:



Все материалы представленные на сайте исключительно с целью ознакомления читателями и не преследуют коммерческих целей или нарушение авторских прав. Студалл.Орг (0.004 сек.)