|
|||||||
АвтоАвтоматизацияАрхитектураАстрономияАудитБиологияБухгалтерияВоенное делоГенетикаГеографияГеологияГосударствоДомДругоеЖурналистика и СМИИзобретательствоИностранные языкиИнформатикаИскусствоИсторияКомпьютерыКулинарияКультураЛексикологияЛитератураЛогикаМаркетингМатематикаМашиностроениеМедицинаМенеджментМеталлы и СваркаМеханикаМузыкаНаселениеОбразованиеОхрана безопасности жизниОхрана ТрудаПедагогикаПолитикаПравоПриборостроениеПрограммированиеПроизводствоПромышленностьПсихологияРадиоРегилияСвязьСоциологияСпортСтандартизацияСтроительствоТехнологииТорговляТуризмФизикаФизиологияФилософияФинансыХимияХозяйствоЦеннообразованиеЧерчениеЭкологияЭконометрикаЭкономикаЭлектроникаЮриспунденкция |
Программа. 3.1
library ieee; use ieee.std_logic_1164.all;
entity add1 is port(a1,b1:in BIT;c1,s1:out BIT); end add1; architecture struct_1 of add1 is begin s1<= ((a1 and (not b1)) or ((not a1) and b1)); c1<= a1 and b1; end struct_1; Программа. 3.2 library ieee; use ieee.std_logic_1164.all;
entity add11 is port(a2,b2,c1:in BIT;c2,s2:out BIT); end add11; architecture struct_1 of add11 is begin s2<=(a2 xor b2) xor c1; c2<= (a2 and b2) or ((a2 xor b2)and c1); end struct_1;
Программа.3.3 library ieee; use ieee.std_logic_1164.all;
entity add4 is port(a,b:in bit_vector(3 downto 0); s:out bit_vector(3 downto 0); c: out bit); end add4;
architecture structural of add4 is component add1 port(a1,b1:in BIT;c1,s1:out BIT); end component; component add11 port (c1,a2,b2:in BIT; c2,s2:out BIT); end component; signal c_in: bit_vector(2 downto 0); begin p0: add1 port map(a1=>a(0),b1=>b(0),c1=>c_in(0),s1=>s(0)); p1: add11 port map(c1=>c_in(0),a2=>a(1),b2=>b(1),c2=>c_in(1),s2=>s(1)); p2: add11 port map(c1=>c_in(1),a2=>a(2),b2=>b(2),c2=>c_in(2),s2=>s(2)); p3: add11 port map(c1=>c_in(2),a2=>a(3),b2=>b(3),c2=>c,s2=>s(3)); end structural;
Отчет должен содержать программы работы устройств с их названиями и временными диаграммами их работы.
Лабораторная работа № 4. Исследование работы триггера.
Цель работы: изучение принципов функционирования простейшего конечного автомата. Постановка задачи. На примере простейшей ячейки асинхронного RS-триггера и двух синхронных триггеров, D-триггера и JK-триггера, изучить назначение входов и принципы функционирования устройства. Для этого в пакете Quartus записать программы функционирования устройств на языке VHDL, а затем построить временные диаграммы. Рекомендуемые интервалы времени: RS-триггер: s – 80 нс с начальным уровнем 1, r – 50нс с начальным уровнем 0. D – триггер: для сигналов синхронизации – 20нс, информационного D-входа – 90нс с начальным уровнем 1, для s – входа при базовом уровне 1 короткий 0 от 110 до 130 нс, для r – входа при базовом уровне 1 короткий 0 от 270 до 290 нс. JK – триггер: для сигналов синхронизации – 20нс, информационных JK-входов J– 110нс с начальным уровнем 1 и K - 70 нс с начальным уровнем 0,, для s – входа при базовом уровне 1 короткий 0 от 150 до 170 нс, для r – входа при базовом уровне 1 короткий 0 от 30 до 50 нс. . Порядок выполнения работы.
1.Загрузить Quartus, создать проект. 2. Открыть VHDL файл, записать программу 4.1, отражающую работу асинхронного RS- триггера. 3. Сохранить файл, установить его старшим в иерархии и откомпилировать. 4. Открыть редактор временных диаграмм. Построить диаграммы работы. Интервалы выбрать из списка рекомендованных. Зарисовать диаграммы в отчет. 5. Открыть новый VHDL файл, записать программу 4.2, отражающую работу D – триггера. 6. Сохранить файл под другим именем, установить его старшим в иерархии и откомпилировать. 7. Открыть редактор временных диаграмм. Построить диаграммы работы. Интервалы выбрать из списка рекомендованных. Зарисовать диаграммы в отчет. 8. Открыть новый VHDL файл, записать программу 4.3, отражающую работу JK – триггера. 9. Дать имя файлу и сохранить его, установить старшим в иерархии и откомпилировать. 10.. Открыть редактор временных диаграмм. Построить диаграммы работы. Интервалы выбрать из списка рекомендованных. Зарисовать диаграммы в отчет. Поиск по сайту: |
Все материалы представленные на сайте исключительно с целью ознакомления читателями и не преследуют коммерческих целей или нарушение авторских прав. Студалл.Орг (0.008 сек.) |